//********Water Timer ON logic for day of week and time operations for reference // // set valve1 time if((t.Hour() == 7) || (t.Hour() == 9) || (t.Hour() == 11) || (t.Hour() == 13) || (t.Hour() == 15) || (t.Hour() == 17) || (t.Hour() == 19)) { start1tm = 1; } else { start1tm = 0; } //turn on water1 if (((autowh == 1) && (start1tm == 1) && (t.Minute() == 0) && (yvalue == 1) && (water1ON == 0)) || ((autowh == 0) && (yvalue == 1) && (water1ON == 0))) { digitalWrite(polarity1, LOW); digitalWrite(polarity2, LOW); delay(500); digitalWrite(valve1, LOW); delay(250); digitalWrite(valve1, HIGH); delay(500); water1ON = 1; } //turn off water1 if (((autowh == 1) && (t.Minute() > 02) && (water1ON == 1)) || ((yvalue ==0) && (water1ON == 1)) || ((autowh == 0) && (yvalue == 0) && (water1ON == 1))) { digitalWrite(polarity1, HIGH); digitalWrite(polarity2, HIGH); delay(500); digitalWrite(valve1, LOW); delay(250); digitalWrite(valve1, HIGH); delay(500); water1ON = 0; } // // // set valve2 time if((t.Hour() == 8) || (t.Hour() == 10) || (t.Hour() == 12) || (t.Hour() == 14) || (t.Hour() == 16) || (t.Hour() == 18) || (t.Hour() == 20)) { start2tm = 1; } else { start2tm = 0; } //turn on water2 if (((autowh == 1) && (start2tm == 1) && (t.Minute() == 0) && (rvalue == 1) && (water2ON == 0)) || ((autowh == 0) && (rvalue == 1) && (water2ON == 0))) { digitalWrite(polarity1, LOW); digitalWrite(polarity2, LOW); delay(500); digitalWrite(valve2, LOW); delay(250); digitalWrite(valve2, HIGH); delay(500); water2ON = 1; } //turn off water2 if (((autowh == 1) && (t.Minute() > 02) && (water2ON == 1)) || ((rvalue ==0) && (water2ON == 1)) || ((autowh == 0) && (rvalue == 0) && (water2ON == 1))) { digitalWrite(polarity1, HIGH); digitalWrite(polarity2, HIGH); delay(500); digitalWrite(valve2, LOW); delay(250); digitalWrite(valve2, HIGH); delay(500); water2ON = 0; } // //*********End Water Timer Logic****************************************************